Corporate Training
Request Demo
Click me
Menu
Let's Talk
Request Demo

Most Commonly Asked VLSI Interview Questions and Answers

by Subashini, on Jul 19, 2022 10:36:43 PM

 Most Commonly Asked VLSI Interview Questions and Answers

Q1. What is meant by VLSI?

Ans

VLSI (Very Large-Scale Integration) is the current level of computer microchip miniaturization and refers to microchips containing in the hundreds of thousands of transistors. LSI (Large-Scale Integration) meant microchips containing thousands of transistors.
 

Q2. What is boolean logic and how do you use it?

Ans

Boolean logic is a core element of VLSI and microchip development, so this is a question you can expect to hear. Since it relates to Boolean algebra, you might also mention that in your response to further demonstrate your knowledge.

Q3. What is Verilog?

Ans

Verilog is an HDL (Hardware Description Language) for describing electronic circuits and systems.  In Verilog, circuit components are prepared inside a Module.  It contains both behavioral and structural statements.  Structural statements signify circuit components like logic gates, counters and micro-processors. Behavioral statements represent programming aspects like loops, if-then statements and stimulus vectors.

Q4. What are the two types of procedural blocks in Verilog?

Ans

The two types of procedural blocks in Verilog are

  • Initial: Initial blocks runs only once at time zero
  • Always: This block loop to execute over and again and executes always, as the name suggests

Q5. How logical gates are controlled by Boolean logic?

Ans

In Boolean algebra, the true state is denoted by the number one, referred as logic one or logic high. While, the false state is represented by the number zero, called logic zero or logic low.  And in the digital electronic, the logic high is denoted by the presence of a voltage potential.

VLSI Online Training

Q6. What are the different gates where Boolean logic are applicable?

Ans

  • NOT Gate: It has one out input and one output. For example, if the value of A= 0 then the Value of B=1 and vice versa
  • AND Gate: It has one output due to the combination of two output. For example, if the value of A and B= 1 then value of Q should be 1
  • OR Gate: Either of the value will show the same output. For example, if the value of A is 1 or B is 0 then value of Q is 1

These are the basic three types of gates where Boolean logic work, apart from these, other gates that are functional works with the combination of these three basic gates, they are XNOR gate, NAND gate, Nor gate and XOR gate.

Q7. What is the difference between the TTL chips and CMOS chips?

Ans

                               TTL Chips                           CMOS Chips
  • TTL chips for transistor transistor logic. It uses two Bi-polar Junction Transistors in the design of each logic gate
  • TTL chips can consist of a substantial number of parts like resistors
  • TTLS chip consumes lot more power especially at rest. A single gate in TTL chip consumes about mW of power
  • TTL chips can be used in computers
  •  CMOS stands for Complementary Metal Oxide Semi-conductor. It is also an integrated chip but used field effect transistors in the design
  • CMOS has greater density for logic gates. In a CMOS chip, single logic gate can comprise of as little as two FETs
  • CMOS chips consume less power. A single CMOS chip consume about 10nW of power
  • CMOS chip is used in Mobile phones

 

Q8. Explain how Verilog is different to normal programming language?

Ans

Verilog can be different to normal programming language in following aspects

  • Simulation time concept
  • Multiple threads
  • Basic circuit concepts like primitive gates and network connections

Q9. Why present VLSI circuits use MOSFETs instead of BJTs?

Ans

In comparison to BJT, MOSFETS can be made very compact as they occupy very small silicon area on IC chip and also in term of manufacturing they are relatively simple. Moreover, digital and memory ICs can be employed with circuits that use only MOSFETs, i.e., diodes, resistors, etc.

Q10. Mention what are three regions of operation of MOSFET and how are they used?

Ans

MOSFET has three regions of operations

  • Cut-off region
  • Triode region
  • Saturation region

The triode and cut-off region are used to function as a switch, while, saturation region is used to operate as an amplifier.

Q11. What is the depletion region?

Ans

When positive voltage is transmitted across Gate, it causes the free holes (positive charge) to be pushed back or repelled from the region of the substrate under the Gate. When these holes are pushed down the substrate, they leave behind a carrier depletion region.

Q12. Why is the number of gate inputs to CMOS gates usually limited to four?

Ans

Higher the number of stacks, slower the gate will be.  In NOR and NAND gates the number of gates present in the stack is usually alike as the number of inputs plus one. So input are restricted to four.

Q13. What is SCR (Silicon Controlled Rectifier)?

Ans

SCR is a 4 layered solid state device which controls current flow.  It is a type of rectifier that is controlled by a logical gate signal. It is a 4 layered, 3-terminal device.

Q14. What is the use of defpararm?

Ans

With the keyword defparam, parameter values can be configured in any module instance in the design.

Q15. What is the meaning of "the channel is pinched off"?

Ans

For a MOSFET, when the voltage value between Gate and Source (VGS) is greater than the threshold voltage (Vt), the channel is induced. As we increase, VDS current starts flowing from Drain to Source till the voltage between gate and channel at the drain end becomes Vt, i.e., VGS - VDS = Vt, the channel depth at Drain end decreases almost to zero. At this stage, the channel is said to be pinched off. In this condition, the MOSFET enters the saturation region.

Q16. What does the "timescale 1 ns/ 1 ps" specify in Verilog code?

Ans

In Verilog code, "the timescale 1 ns/ 1 ps" specifies that the unit of time is 1 ns, and the accuracy/precision will be upto 1ps.

Q17. What are the different types of skews used in VLSI?

Ans

In the clock, a skew is used to reduce the delay or better understand the process. There are mainly three different types of skews used in VLSI.

  • Local skew: The local skew is generally used to include the difference between the launching flip-flop and the destination flip-flop. This differentiation helps to define a time path between the two.
  • Global skew: The global skew defines the difference between the earliest components reaching the flip flop within the same clock domain. It needs to be mentioned in this skew. The delays are not measured while the clock is uniform for both.
  • Useful skew: The useful skew is used to define the delay in capturing flip flop paths, which later helps set up an environment with precise requirements for the launch and capture of the timing path. It needs to be mentioned for design purposes to met the hold requirements.

Q18. How many transistors do a static RAM use?

Ans

Generally, a static RAM makes use of six transistors. Under the static RAM, read and write operations make use of the same port.

Q19. What do you understand by the threshold voltage?

Ans

The threshold voltage is commonly abbreviated as V??. It can be defined as a voltage between Gate and Source, i.e., VGS. A sufficient number of mobile electrons accumulate in the channel region and create a conducting channel. It is the minimum gate-to-source voltage required to create a conducting path between the source and the drain terminals. It is an essential scaling factor to maintain power efficiency.

Q20. What are the different ways to prevent Antenna Violation?

Ans

Antenna violation occurs during plasma etching when the charges generated from one metal strip to another accumulate in a single place. The length of the strip is directly proportional to the charges gets accumulated. Therefore, the longer the strip, the more the charges get accumulated.

We can prevent Antenna Violation by using the following methods:

  • First, by creating jogging, the metal line consists of at least one metal above the protected layer.
  • We have to jog the metal to get the etching effect. This step is taken because if metal gets the etching, the other metal gets disconnected if we don't take the prevention measures.
  • We can also prevent it by adding the reverse diodes at the gates that are used in the circuits.

Q21. What is the function of tie-high and tie-low cells?

Ans

The tie-high and tie-low cells are used to connect the transistors of the gate by using either the power or the ground. The gates are connected using the power or ground to turn off and on them because the power bounces from the ground. The cells stop the bouncing and ease the current from one cell to another. These cells require Vdd that connects to the tie-high cell as a power supply is high, and tie-low gets connected to Vss. After the connection establishment, the transistors function correctly without any ground bounce occurring in any cell.

Q22. What is the range of integration can be designed using VLSI technology?

Ans

VLSI technology can incorporate ICs in a range of 2000 to 20,000.

Q23. What is Moore’s law?

Ans

Moor’s law is one of the most significant statements that describes large-scale integration technology growth. Gordon Moor, the co-founder of Intel, predicted that the number of transistors inside an integrated cheap would be doubled every 1.5 years.

Q24. What is Antenna Effect regarding VLSI technology?

Ans

While the fabrication of interconnection is under process, some of the metal lines may be partially processed. Those metal lines further gather static charges inside the clot surroundings. Later, if those lines get interconnected with transistors, the previously stored charges may start discharging during operation in progress. That discharging may affect the gate oxide. This effect is known as Antenna Effect.

Q25. What is PLL?

Ans

PLL is Phase Locked Loop, which can track the frequency- coming inside. PLL can also work as a clock generator.

Q26. How many MOSFETs and BJTs are required to design a BiCMOS two-input NAND gate? Draw the circuit diagram of a two-input BiCMOS NAND gate.

Ans

To build a BiCMOS two-input NAND gate, we need 7 MOSFETs and 2 BJTs.

BiCMOS NAND Gate

Q27. How many MOSFETs and BJTs are required to design a BiCMOS two-input NOR gate? Draw the circuit diagram of a BiCMOS NOR gate.

Ans

To build a BiCMOS two-input NOR gate, we need 7 MOSFETs and 2 BJTs.

BiCMOS NOR Gate

Q28. What is ROBDD and OBDD?

Ans

OBDD is an Ordered Binary Decision Diagram, and ROBDD is Reduced Ordered Decision Diagram. These are Boolean space methodology for handling a large number of input signals.

Q29. Give some examples of Logic Synthesis Techniques of VLSI design.

Ans

Some of the logic synthesis techniques are – Instantiation, Macro expansion/ substitution, Inference, logic optimization, and structural reorganization.

Q30. Describe Slew Balancing.

Ans

Slew is a basic term related to the rise and fallen time of the input and output waveforms. Rise time is known as rising slew, whereas fall time is known as fall slew. Slew balancing is the process of making the rise slew and fall slew equal. To do so, the corresponding resistances of the transistors are kept equal.

Q31. What are the future technologies of VLSI?

Ans

Future technologies of VLSI are – ULSI (Ultra Large Scale Integration) and GSI (Giga- Scale Integration). ULSI has a range of – 100,000 gates to 1,000,000 gates per IC, and GSI has a range greater than 1,000,000 gates per IC.

Topics:Interview Questions with Answers

Comments

Subscribe

Top Courses in Python

Top Courses in Python

We help you to choose the right Python career Path at myTectra. Here are the top courses in Python one can select. Learn More →

aathirai cut mango pickle

More...